How to program the Pano Logic G1 with Urjtag and USB-Blaster from Windows

English only (or mostly) posts, info and discussions

Moderador: antoniovillena

benitoss
PLA
Mensajes: 43
Registrado: 07 Oct 2018, 04:53

How to program the Pano Logic G1 with Urjtag and USB-Blaster from Windows

Mensaje por benitoss » 22 Dic 2018, 06:58

1) Connect the cables of the JTAG following the instructions of this page:
https://github.com/tomverbeure/panologic

Pinout of the JTAG is as follows:

Código: Seleccionar todo

1. VCC
2. TDI
3. TMS
4. TDO
5. TCK
6. GND   (it is placed to the right side of the picture)
Imagen

2) Connect the cables to the USB-Blaster follow this picture from
http://www.zxuno.com/forum/viewtopic.php?t=432

Imagen

3) Download the last UrJTAG version for Windows from here:
https://phoenixnap.dl.sourceforge.net/p ... 31.tar.gz

4) Decompress the file in one folder called for example UrJTAG

5) Convert the BIT file to SVF file with impact in Xillinx ISE.
Read the 2nd page of the next doc:
https://www.xilinx.com/support/documen ... pp503.pdf

6) Copy the SVF in the path of UrJTAG

7) In a terminal type:

Código: Seleccionar todo

jtag
  
Imagen

8) type:

Código: Seleccionar todo

cable usbblaster
detect
     
You will see:

Imagen

9) Now, we are going to program the FPGA.
Note: In my case my file is led.svf
Type:

Código: Seleccionar todo

svf led.svf progress       
         
And the board is already flashed

Imagen

This procedure worked with the Logic Pano G1. It is supposed that it works with the G2 version too, but I cannot confirm it.

Responder

Volver a “In English”