Como programar la Pano Logic G1 con OpenOCD y USB-Blaster desde Windows (BIT Files)

Enlaces (o posts con adjuntos) conteniendo documentación sobre la Pano (esquemas, datasheets, etc). Howtos y tutoriales sobre cómo preparar la Pano, modearla, o programarla usando otras interfaces aparte del programador de Xilinx

Moderador: antoniovillena

Responder
benitoss
PLA
Mensajes: 43
Registrado: 07 Oct 2018, 04:53

Como programar la Pano Logic G1 con OpenOCD y USB-Blaster desde Windows (BIT Files)

Mensaje por benitoss » 11 Mar 2019, 02:26

Nota: Yo he utilizado en mis pruebas el USB-Blaster Clonico siguiente.
ARMJISHU USB-Blaster
Contiene un micro-controlador ST STM32F101 (como un STM32F103 con soporte USB) y un octal-buffer 74HC244
mini_stm32_front.jpg
mini_stm32_front.jpg (293.67 KiB) Visto 12383 veces
mini_stm32_back.jpg
mini_stm32_back.jpg (361.59 KiB) Visto 12383 veces
Desconozco si funciona con otras versiones del USB-Blaster

Comenzamos:

1) Conectar los cables del JTAG siguiendo las instrucciones de esta pagina:
https://github.com/tomverbeure/panologic

El Pineado del Jtag es el siguiente:

Código: Seleccionar todo

1. VCC
2. TDI
3. TMS
4. TDO
5. TCK
6. GND   (Es el pin situado a la derecha de la siguiente fotografia)
jtag1.png
jtag1.png (371.92 KiB) Visto 12383 veces

2) conecta los cables de la USB-blaster siguiendo el diagrama de la web:

http://www.zxuno.com/forum/viewtopic.php?t=432
jtag2.png
jtag2.png (10.37 KiB) Visto 12383 veces
3)
Para windows.
Primero tenemos que cambiar los drivers de la USB-Blaster a LibUSB
Descarga la utilidad USBTool desde https://visualgdb.com/UsbDriverTool/
El archivo a descargar es este : http://sysprogs.com/getfile/413/UsbDriverTool-2.0.exe
Instalalo y ejecutalo el UsbDriver
selecciona el dispositivo Altera USB-Blaster y presiona el boton derecho del raton sobre el.
Selecciona la opcion “Install Libusb-WinUSB"
openocd_w1.png
openocd_w1.png (47.67 KiB) Visto 12383 veces
Al final se mostrara esta ventana
openocd_w2.png
openocd_w2.png (44.43 KiB) Visto 12383 veces

Descarga ahora el openOCD desde aqui [url]https://github.com/gnu-mcu-eclipse/openocd/releases[\url]
La version de 64 bits para windows esta aqui [url]https://github.com/gnu-mcu-eclipse/open ... -win64.zip[\url]

Ve a la carpeta de instalacion del \OpenOCD\0.10.0-11-20190118-1134\bin> (Ojo la version de 64 bits no funciona bien)
y copia a ese directorio los siguientes ficheros que he adjuntado en este post como "config_files.zip"
config_files.zip
(1.36 KiB) Descargado 598 veces
-Altera-usb-blaster.cfg
-Xilinx-xc6s.cfg

Copia tambien en el mismo directorio tu fichero bitfile .BIT
y executa el siguiente comando (suponiendo que tu bitstream file es "test_video_G1.bit" )

Código: Seleccionar todo

openocd -f altera-usb-blaster.cfg -f xilinx-xc6s.cfg -c "init; xc6s_program xc6s.tap; pld load 0 test_video_G1.bit ; exit"
openocd_w5.png
openocd_w5.png (28.48 KiB) Visto 12376 veces

Nota Importante:
Si quieres usar el USB-Blaster para programar tarjetas Altera en Quartus necesitas restaurar el driver original de esta manera
Ejecuta de nuevo UsbDriver Tools
selecciona el dispositivo Altera USB-Blaster y presiona el boton derecho del raton sobre el.
Selecciona la opcion “Restore default driver"
openocd_w3.png
openocd_w3.png (48.1 KiB) Visto 12383 veces

Asi es como se tiene que mostrar la ventana al final del proceso
openocd_w4.png
openocd_w4.png (43.85 KiB) Visto 12383 veces

Este procedimiento funciona con la Logic Pano G1. Y se supone que funciona en la G2 pero aun no he podido comprobarlo.

Saludos

javier2112
Veroboard
Mensajes: 3
Registrado: 27 Abr 2020, 23:59

Re: Como programar la Pano Logic G1 con OpenOCD y USB-Blaster desde Windows (BIT Files)

Mensaje por javier2112 » 05 May 2020, 17:48

Hola.

La utilidad Urjtag, mostrada en el enlace
viewtopic.php?f=179&t=191

es totalmente válida para programar la FPGA con ficheros .bit:

Código: Seleccionar todo

$ jtag
jtag> cable usbblaster
Connected to libftdi driver.

IR length: 6
Chain length: 1
Device Id: 00100100000000000001000010010011 (0x24001093)
  Manufacturer: Xilinx (0x093)
  Part(0):      xc6slx9 (0x4001)
  Stepping:     2
  Filename:     /usr/local/share/urjtag/xilinx/xc6slx9/xc6slx9
jtag> pld load blinker2.bit
Bitstream information:
	Design: blinker2.ncd;UserID=0xFFFFFFFF
	Part name: 6slx9tqg144
	Date: 2018/12/19
	Time: 20:54:50
	Bitstream length: 340604
jtag> quit
Eso sí, al apagar perderemos la programación de la FPGA.

benitoss
PLA
Mensajes: 43
Registrado: 07 Oct 2018, 04:53

Re: Como programar la Pano Logic G1 con OpenOCD y USB-Blaster desde Windows (BIT Files)

Mensaje por benitoss » 02 Jun 2020, 19:54

javier2112 escribió:
05 May 2020, 17:48
Hola.

La utilidad Urjtag, mostrada en el enlace
viewtopic.php?f=179&t=191

es totalmente válida para programar la FPGA con ficheros .bit:

Eso sí, al apagar perderemos la programación de la FPGA.
Claro que usar el URJTag es valido y si acaso mas facil. Gracias por comentarlo aqui
De todos modos el uso de OpenOCD es mas flexible y este post que puse es consultado por mucha gente para poder usar el USB Blaster con el OpenOCD y poder detectarlo en Windows, independientemente de luego que placa FPGA quieras programar. Piensa que con el USB Blaster podras programar en windows muchas placas FPGAs distintas (Yo he probado tambien con FPGAs de la familia Xilinx X16 y Artix7) Eso es lo mas valioso de este post.

OpenOCD permite poder programar la placa y tambien poder grabar ese bit file en el chip SPI Flash de la FPGA. En este post solo esta explicada la primera parte. Si quereis os pongo la segunda.

Saludos

Responder

Volver a “Documentación y howtos”