Se encontraron 43 coincidencias

por benitoss
03 Jun 2019, 01:57
Foro: Hardware
Tema: Multicore Amiga: STM32 Updater V105
Respuestas: 2
Vistas: 9188

Multicore Amiga: STM32 Updater V105

Con este core podemos actualizar o grabar el firmware de la STM32 desde nuestro propio UnAmiga y sin necesidad de adaptador USB-TLL o ST-LINK Se basa en el mismo sistema del Multicore 2 de Victor Trucco adaptado a nuestra FPGA. Codigo fuente extraido del GitLAb de Victor Trucco para su maquina Multi...
por benitoss
01 Jun 2019, 06:08
Foro: Hardware
Tema: Nuevo sistema Multicore para el UnAmiga
Respuestas: 6
Vistas: 14066

Nuevo sistema Multicore para el UnAmiga

LLego el dia, por fin tenemos el sistema multicore que tanto deseabamos para nuestro querido UnAmiga. Se basa en el mismo sistema del Multicore 2 de Victor Trucco adaptado a nuestra FPGA. Codigo fuente extraido del GitLAb de Victor Trucco para su maquina Multicore 2 https://gitlab.com/victor.trucco/...
por benitoss
31 May 2019, 20:56
Foro: Firmware
Tema: Como programar un UnAmiga con la Raspberry Pi (o Linux) con el USB-Blaster y OpenOCD
Respuestas: 8
Vistas: 13839

Re: Como programar un UnAmiga con la Raspberry Pi con el USB-Blaster y OpenOCD

Funciona tanto por GPIO como con el USB-Blaster en la misma pi, se puede tener la pi para reprogramar 2 jtag sabiendo lo que se hace, Un pi multicore multipropósito para placas altera. No se si se podría poner un zxuno y un unamiga... Genial el tutorial muy útil. Si con openOCD y USB Blaster se pue...
por benitoss
07 Abr 2019, 06:08
Foro: Chips
Tema: USB Blaster para Xilinx
Respuestas: 10
Vistas: 19510

Re: USB Blaster para Xilinx

OS recomiendo que os paseis por las siguiente paginas de este mismo foro donde se explica como programar una FPGA Xillinx con una USB-Blaster Como programar la Pano Logic G1 con Urjtag y USB-Blaster desde Windows o Linux (SVF Files) http://www.forofpga.es/viewtopic.php?f=179&t=191 Como programar la ...
por benitoss
11 Mar 2019, 02:26
Foro: Documentación y howtos
Tema: Como programar la Pano Logic G1 con OpenOCD y USB-Blaster desde Windows (BIT Files)
Respuestas: 2
Vistas: 12942

Como programar la Pano Logic G1 con OpenOCD y USB-Blaster desde Windows (BIT Files)

Nota: Yo he utilizado en mis pruebas el USB-Blaster Clonico siguiente. ARMJISHU USB-Blaster Contiene un micro-controlador ST STM32F101 (como un STM32F103 con soporte USB) y un octal-buffer 74HC244 mini_stm32_front.jpg mini_stm32_back.jpg Desconozco si funciona con otras versiones del USB-Blaster Com...
por benitoss
27 Ene 2019, 05:45
Foro: Sega Master System
Tema: Sega Master System (SMS)
Respuestas: 0
Vistas: 5493

Sega Master System (SMS)

Otro core portado directamente del Multicore 2 de Victor Trucco que hace uso del nuevo addon de SRAM. Agradecimientos a Fran y a Jaime por su gran labor de Beta-testers. Nota: El core hace uso de la memoria SRAM, asi que para nuestro UnAmiga es necesario disponer del addon SRAM proporcionado por Ant...
por benitoss
27 Ene 2019, 05:15
Foro: CBS Colecovision
Tema: Colecovision
Respuestas: 0
Vistas: 5434

Colecovision

Este es otro core portado directamente del Multicore 2 de Victor Trucco que hace uso del nuevo addon de SRAM. Agradecimientos a Fran y a Jaime por su gran labor de Beta-testers. Nota: El core hace uso de la memoria SRAM, asi que para nuestro UnAmiga es necesario disponer del addon SRAM proporcionado...
por benitoss
27 Ene 2019, 04:54
Foro: Nintendo Entertainment System (NES)
Tema: Nintendo Entertainment System (NES)
Respuestas: 4
Vistas: 9371

NES - Juegos compatibles

Nuevas capturas de juegos compatibles con este core
photo_2019-01-26_21-50-15.jpg
photo_2019-01-26_21-50-15.jpg (98.51 KiB) Visto 9141 veces
photo_2019-01-26_21-50-37.jpg
photo_2019-01-26_21-50-37.jpg (92.1 KiB) Visto 9141 veces
photo_2019-01-26_21-50-44.jpg
photo_2019-01-26_21-50-44.jpg (99.88 KiB) Visto 9141 veces
photo_2019-01-26_21-50-41.jpg
photo_2019-01-26_21-50-41.jpg (71.49 KiB) Visto 9141 veces
photo_2019-01-26_21-50-48.jpg
photo_2019-01-26_21-50-48.jpg (71.95 KiB) Visto 9141 veces
photo_2019-01-26_21-50-52.jpg
photo_2019-01-26_21-50-52.jpg (68.87 KiB) Visto 9141 veces
photo_2019-01-26_21-50-56.jpg
photo_2019-01-26_21-50-56.jpg (83.24 KiB) Visto 9141 veces
por benitoss
11 Ene 2019, 04:43
Foro: Nintendo Entertainment System (NES)
Tema: Nintendo Entertainment System (NES)
Respuestas: 4
Vistas: 9371

Nintendo Entertainment System (NES)

Este es el primer core portado directamente del Multicore 2 de Victor Trucco, a su vez portado del ZXUno que hace uso del nuevo addon de SRAM. Actualizado: : El core ya es totalmente funcional despues de reparar un error en los controles del Joystick. Nota: El core hace uso de la memoria SRAM, asi q...
por benitoss
22 Dic 2018, 07:53
Foro: Documentación y howtos
Tema: Como programar la Pano Logic G1 con Urjtag y USB-Blaster desde Windows o Linux (SVF Files)
Respuestas: 2
Vistas: 8768

Como programar la Pano Logic G1 con Urjtag y USB-Blaster desde Windows o Linux (SVF Files)

Nota: Yo he utilizado en mis pruebas el USB-Blaster Clonico siguiente. ARMJISHU USB-Blaster Contiene un micro-controlador ST STM32F101 (como un STM32F103 con soporte USB) y un octal-buffer 74HC244 https://wiki.cuvoodoo.info/lib/exe/fetch.php?h=150&tok=d39543&media=jtag:mini_stm32_front.jpg https://w...

Ir a búsqueda avanzada