Se encontraron 3 coincidencias

por javier2112
05 May 2020, 18:54
Foro: Documentación y howtos
Tema: Como programar la Pano Logic G1 con Urjtag y USB-Blaster desde Windows o Linux (SVF Files)
Respuestas: 2
Vistas: 8608

Re: Como programar la Pano Logic G1 con Urjtag y USB-Blaster desde Windows o Linux (SVF Files)

Creo que lo que le falta a este howto es desarrollar el punto 5. A a eso me he dedicado últimamente y voy a compartirlo con vosotros. Hasta ahora, yo tenía la idea de que sin un programador específico, no era posible programar la FPGA de manera permanente, puesto que al usar un fichero .bit, este só...
por javier2112
05 May 2020, 17:48
Foro: Documentación y howtos
Tema: Como programar la Pano Logic G1 con OpenOCD y USB-Blaster desde Windows (BIT Files)
Respuestas: 2
Vistas: 12621

Re: Como programar la Pano Logic G1 con OpenOCD y USB-Blaster desde Windows (BIT Files)

Hola. La utilidad Urjtag , mostrada en el enlace http://www.forofpga.es/viewtopic.php?f=179&t=191 es totalmente válida para programar la FPGA con ficheros .bit: $ jtag jtag> cable usbblaster Connected to libftdi driver. IR length: 6 Chain length: 1 Device Id: 00100100000000000001000010010011 (0x2400...
por javier2112
05 May 2020, 17:37
Foro: Sobre la web
Tema: Incidencia: mail de alta no recibido
Respuestas: 6
Vistas: 11973

Re: Incidencia: mail de alta no recibido

Hola.

Me registré hace unos días y nunca recibí el email. Parece que el mensaje está puesto de manera predeterminada pero el registro se realiza en el mismo momento.

Ir a búsqueda avanzada